Пидоры помогите / программист :: пидоры помогите (реактор помоги)

пидоры помогите программист песочница 

Пидоры помогите

Добрый день, уважаемые пидоры!

U PV\, A ° Г U te \
C\,пидоры помогите,реактор помоги,программист,песочница

Немного предыстории. Примерно 6-7 лет назад программировал в институте на ПЛИС. Лабораторные работы, курсовые, в общем, невысокий уровень полета. Тогда всё это делалось на макете Altera DE1 Board (семейство ПЛИС Cyclone 2), а программы писались в среде Quartus-2 в9.0. Сейчас мне опять захотелось этим заняться и я заказал себе плату, но на ПЛИС семейства Cyclone4. Вот такая симпотяга:

пидоры помогите,реактор помоги,программист,песочница

 Естественно, для нового семейства ПЛИС нужна новая версия Quartus. Скачал самый свежий вариант с сайта Intel - Quartus Prime Lite Edition, то есть бесплатную версию. Как и положено, помигал светодиодами. Вспомнил про такой замечательный инструмент в среде Quartus как моделирование (Simulation). Моделирование сейчас выполняется в среде QuestaSim (вместо старой ModelSim).
С моделированием начались проблемы. При установке бесплатной программной среды также устанавливается бесплатная среда для моделирования. Галочки стоят по умолчанию.  

О Installing Quartus Prime Lite Edition (Free) 21.1.0.842
X
Select Components
Select the components you want to install
0- 0[Quartus.Prime Lite Edition (Free)
| 0 Quartus Prime (includes Nios ¡I EDS) (9235MB)
0 Quartus Prime Help (507.9MB)
0 0 Devices
0 Arria II (536.5MB)
0 Cyclone IV

Но при нажатии кнопки "Simulation" появляется следующая ошибка:

Nativelink Error
X
You selected QuestaSim as Simulation Software in EDA Tool Settings, however NativeLink found Questa Intel FPGA in the path - correct path or change EDA Tool Settings and try again.
Check the NativeLink log file
F:/Projects/KeyS1234/KeyS1234_nativelink_simulation.rpt for

На различных форумах (поддержка Intel не исключение) пишут, что нужно поменять адрес среды моделирования QuestaSim в настройках (добавить слеш):
было так

O Options
X
Category:
w General
EDA Tool Options Fonts
Headers & Footers Settings Internet Connectivity Libraries w IP Settings
IP Catalog Search Locations Design Templates License Setup Preferred Text Editor Processing Tooltip Settings w Messages Colors Fonts
EDA Tool Options
Specify the

стало так

Gk Options
X
Category:
w General
EDA Tool Options Fonts
Headers & Footers Settings Internet Connectivity Libraries w IP Settings
IP Catalog Search Locations Design Templates License Setup Preferred Text Editor Processing Tooltip Settings w Messages Colors Fonts
EDA Tool Options
Specify the

Но ошибка никуда не пропала. Настройки моделирования в меню Assigment -> Setting -> Simulation тоже проверил.

Category:
Device/Board...
General Files Libraries w IP Settings
IP Catalog Search Locations Design Templates
w Operating Settings and Conditions Voltage Temperature
w Compilation Process Settings Incremental Compilation w EDA Tool Settings
Design Entry/Synthesis Simulation Board-Level w

При попытке запуска QuestaSim отдельным приложением через "Пуск" тоже выдает ошибку:

Questa - Intel FPGA Starter Edition 2021.2 (Quartus Prime Pro 21.1)
□
X
Jnable to checkout a license. Make sure your license file environment variable (e.g., LM_LICENSE_FILE) is set correctly and then run 'lmutil lmdiag’ to diagnose the problem.
B Fatal License Error
Unable to checkout a

По инструкциям на ютюте и советам из форумов всё должно было заработать, но не заработало. Подскажите, может кто с таким сталкивался и как решил. Заранее спасибо! 

Подробнее
U PV\, A ° Г U te \ C\

О Installing Quartus Prime Lite Edition (Free) 21.1.0.842 X Select Components Select the components you want to install 0- 0[Quartus.Prime Lite Edition (Free) | 0 Quartus Prime (includes Nios ¡I EDS) (9235MB) 0 Quartus Prime Help (507.9MB) 0 0 Devices 0 Arria II (536.5MB) 0 Cyclone IV (516.3MB) 0 Cyclone 10 LP (293.5MB) 0 Cyclone V (1430.9MB) 0 MAX ll/V (13.1MB) L-0 MAX 10 FPGA (355.1MB) 0 Questa - Intel FPGA Starter Edition (A zero cost license requi... □ Questa - Intel FPGA Edition (3202.4MB) Installs the Quartus Prime Lite Edition software. The Quartus Prime Lite Edition software is a free, limited-feature version of the Quartus Prime Standard Edition software that supports selected FPGA and CPLD device families. VMware InstallBuilder < Back Next > Cancel
Nativelink Error X You selected QuestaSim as Simulation Software in EDA Tool Settings, however NativeLink found Questa Intel FPGA in the path - correct path or change EDA Tool Settings and try again. Check the NativeLink log file F:/Projects/KeyS1234/KeyS1234_nativelink_simulation.rpt for detailed error messages
O Options X Category: w General EDA Tool Options Fonts Headers & Footers Settings Internet Connectivity Libraries w IP Settings IP Catalog Search Locations Design Templates License Setup Preferred Text Editor Processing Tooltip Settings w Messages Colors Fonts EDA Tool Options Specify the directory that contains the tool executable for each third-party EDA tool: EDA Tool Directory Containing Tool Executable Precision Synth... ... Synplify ... Synplify Pro ... Active-HDL ... Riviera-PRO ... ModelSim ... QuestaSim C:/intelFPGA_lite/21.1/questa_fse/win64 ... Questa Intel FP... ... OK Cancel Help
Gk Options X Category: w General EDA Tool Options Fonts Headers & Footers Settings Internet Connectivity Libraries w IP Settings IP Catalog Search Locations Design Templates License Setup Preferred Text Editor Processing Tooltip Settings w Messages Colors Fonts EDA Tool Options Specify the directory that contains the tool executable for each third-party EDA tool: EDA Tool Directory Containing Tool Executable Precision Synth... ... Synplify ... Synplify Pro ... Active-HDL ... Riviera-PRO ... ModelSim ... QuestaSim C:/intelFPGA_lite/21.1/questa_fse/win64/| ... Questa Intel FP... ... OK Cancel Help
Category: Device/Board... General Files Libraries w IP Settings IP Catalog Search Locations Design Templates w Operating Settings and Conditions Voltage Temperature w Compilation Process Settings Incremental Compilation w EDA Tool Settings Design Entry/Synthesis Simulation Board-Level w Compiler Settings VHDL Input Verilog HDL Input Default Parameters Timing Analyzer Assembler Design Assistant Signal Tap Logic Analyzer Logic Analyzer Interface Power Analyzer Settings SSN Analyzer Simulation Specify options for generating output files for use with other EDA tools. Tool name: QuestaSim Ruri gate-level simulation automatically after compilation EDA Netlist Writer settings £ormat for output netlist: VHDL Output directory: Timescale: 100 us simulation/modelsim Map illegal HDL characters Options for Power Estimation Enable glitch filtering □ Generate Value Change Dump (VCD) file script Script Settings... Design instance name: More EDA Netlist Writer Settings... NativeLink settings None • Compile test bench: KeyS1234 Test Benches. Use script to set up simulation: Script to compile test bench: More NativeLink Settings... Reset "W Buy Software OK Cancel Apply Help
Questa - Intel FPGA Starter Edition 2021.2 (Quartus Prime Pro 21.1) □ X Jnable to checkout a license. Make sure your license file environment variable (e.g., LM_LICENSE_FILE) is set correctly and then run 'lmutil lmdiag’ to diagnose the problem. B Fatal License Error Unable to checkout a license. Make sure your license file environment variable (e.g., LM_LICENSE_FILE) ~ is set correctly and then run lmutil lmdiag' to diagnose the problem.
пидоры помогите,реактор помоги,программист,песочница
Еще на тему
Развернуть
Что пишет NativeLink в том самом логе .rpt?
Проверь полностью путь к queste - пройди в проводнике и скопируй целиком в настройки, добавь слэш
И вдогонку - скопируй-ка строку из QuestaSim в Questa Intel FPGA - ниже - ставишь ты именно FPGA хоть и lite
Может быть проблема в русских буквах в имени пользователя. Но не факт что дело в этом.
Не то установил.
Кури мануал.
Только зарегистрированные и активированные пользователи могут добавлять комментарии.
Похожие темы

Похожие посты
пидоры, помогите
-Ü
05
С
< КУПОН
НА 1 помощь пидоры, помогите
-Ü
05
С
<